Questions? +1 (202) 335-3939 Login
Trusted News Since 1995
A service for semiconductor industry professionals · Friday, April 19, 2024 · 704,857,353 Articles · 3+ Million Readers

Record Low Resistivity Titanium Nitride Film Fabricated by Thermal ALD

RASIRC BRUTE Hydrazine

RASIRC BRUTE Hydrazine enables uniform nitride deposition for titanium and early transition metals at low temperature.

Ultra-dry hydrazine enables growth at low temperature

Through proper tool design and ultra-dry BRUTE® Hydrazine, high quality titanium nitride films can be grown, as shown in the research.”
— Jeffrey Spiegelman, RASIRC Founder and CEO
SAN DIEGO, CALIFORNIA, UNITED STATES, January 18, 2022 /EINPresswire.com/ -- An IITC paper authored by researchers from UCSD, Samsung, and RASIRC demonstrated record low resistivities for low temperature titanium nitride (TiN) films grown by thermal ALD process. Films yielded resistivities below 200 µohm-cm. The process used titanium tetrachloride (TiCl4) and ultra-dry anhydrous hydrazine along with surface treatments to further reduce surface impurities. The reduction of moisture was key. This reduction was achieved by a high vacuum ALD process combined with anhydrous hydrazine with moisture below 100ppb.

Low resistivity is an indicator of a high-quality film that works well as a barrier layer or conductor. TiN thin films are used as diffusion barriers for cobalt (Co) and tungsten (W) metal layers as well as gate metal in CMOS devices due to their high electrical conductivity and related low resistivity.

Historically, TiN films have been fabricated with ammonia and plasma. However, new three-dimensional designs with high aspect ratios (HAR) impose severe process limitations on plasma efficacy due to line-of-sight issues. Thermal ALD processes have a non-directional advantage over plasma if the process chemistry is reactive enough.

By replacing ammonia with hydrazine, reactivity is increased in the ALD process. This enables processing at lower temperatures needed for backend processes. Low temperature ALD is needed to avoid degradation of previously deposited structures. These breakthroughs make it possible for new 3D architectures like nanoribbons and nanotubes to move into high volume manufacturing.

“Once a low water background can be achieved in the tool, it is critical that the hydrazine precursor be as dry as possible to prevent oxygen incorporation in the film,” said RASIRC Founder and CEO Jeffrey Spiegelman. “Through proper tool design and ultra-dry BRUTE® Hydrazine, high quality titanium nitride films can be grown, as shown in the research.”

Details on the research were published in IEEE Xplore following the 2021 IEEE International Interconnect Technology Conference (IITC). For more information, contact RASIRC.

BRUTE Hydrazine
BRUTE Hydrazine enables uniform nitride deposition for titanium and early transition metals at low temperature. BRUTE Hydrazine may also be used as an atomic hydrogen source, where metals such as Ru, Cu, and Co may be cleaned and reduced. Brute Hydrazine has been formulated with a higher flash point for safer handling.

About RASIRC
RASIRC transforms liquids into dynamic gases that power process innovation in semiconductor and adjacent markets. By commercializing molecules for lower temperature processes, RASIRC patented technology enables the manufacture of atomic-scale oxides, nitrides, and metals. Innovative products such as BRUTE Peroxide, Brute Hydrazine, the Peroxidizer®, and Rainmaker® Humidification Systems are being used to develop solutions for 5G, AI, IOT, and advanced automation.

What makes RASIRC a unique industry leader is our technical expertise and commitment to solving complex industry challenges for our customers. Our team of industry experts has a proven track record of being first to market by efficiently delivering state of the art technology that reduces cost, improves quality, and dramatically improves safety. With our customers at the forefront of all we do, we continue to research, develop, and design innovative products that purify and deliver ultra-pure gas from liquids for the semiconductor and related markets. Contact RASIRC to help solve your complex problems.

Jeffrey Spiegelman
RASIRC
+1 858-259-1220
info@rasirc.com

Powered by EIN Presswire


EIN Presswire does not exercise editorial control over third-party content provided, uploaded, published, or distributed by users of EIN Presswire. We are a distributor, not a publisher, of 3rd party content. Such content may contain the views, opinions, statements, offers, and other material of the respective users, suppliers, participants, or authors.

Submit your press release